数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版

编辑点评:逻辑代数和硬件描述语言基础

基于硬件描述语言HDL编写的一本最流行成为IEEE标准的两种硬件描述语言,它是在c语言上演化而来,数字逻辑电路基础第二版pdf免费版列举了大量的基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计的实例,供读者参考。

数字逻辑电路基础第二版pdf免费版

数字逻辑电路基础第二版江国强图片预览

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(1)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(2)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(3)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(4)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(5)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(6)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(7)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(8)

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(9)

目录大全

目录

第1章数制与编码(1)

11概述(1)

111模拟电子技术和数字电子

技术(1)

112脉冲信号和数字信号(1)

113数字电路的特点(2)

12数制及其转换(2)

13编码(5)

131二?十进制编码(5)

132字符编码(6)

本章小结(7)

思考题和习题(7)

第2章逻辑代数和硬件描述语言

基础(9)

21逻辑代数基本概念(9)

211逻辑常量和逻辑变量(9)

212基本逻辑和复合逻辑(9)

213逻辑函数的表示方法(13)

214逻辑函数的相等(15)

22逻辑代数的运算法则(16)

221逻辑代数的基本公式(16)

222逻辑代数的基本定理(16)

223逻辑代数的常用公式(17)

224异或运算公式(19)

23逻辑函数的表达式(19)

231逻辑函数常用表达式(19)

232逻辑函数的标准表达式(20)

24逻辑函数的简化法(22)

241逻辑函数简化的意义(22)

242逻辑函数的公式简化法(23)

243逻辑函数的卡诺图

简化法(24)

25VerilogHDL基础(28)

251VerilogHDL设计模块的

基本结构(29)

252VerilogHDL的词法(30)

253VerilogHDL的语句(36)

254不同抽象级别的

VerilogHDL模型(42)

本章小结(43)

思考题和习题(43)

第3章门电路(45)

31概述(45)

32晶体二极管和三极管的

开关特性(46)

321晶体二极管的开关特性(46)

322晶体三极管的开关特性(50)

33分立元件门(54)

331二极管与门(54)

332二极管或门(55)

333三极管非门(56)

334复合逻辑门(56)

335正逻辑和负逻辑(58)

34TTL集成门(58)

341TTL集成与非门(59)

342TTL与非门的外部特性(60)

343TTL与非门的主要参数(64)

344TTL与非门的改进电路(65)

345TTL其他类型的集成

电路(66)

346TTL集成电路多余输入端

的处理(68)

347TTL电路的系列产品(69)

35其他类型的双极型集成

电路(69)

351ECL电路(69)

352I2L电路(70)

36MOS集成门(70)

361MOS管(70)

362MOS反相器(72)

363MOS门(74)

364CMOS门的外部特性(77)

37基于VerilogHDL的门电路

设计(78)

371用assign语句建模方法

实现门电路的描述(79)

372用门级元件例化建模方式

来描述门电路(80)

本章小结(81)

思考题和习题(81)

第4章组合逻辑电路(85)

41概述(85)

411组合逻辑电路的结构和

特点(85)

412组合逻辑电路的分析

方法(85)

413组合逻辑电路的设计

方法(86)

42若干常用的组合逻辑电路(90)

421算术运算电路(90)

422编码器(92)

423译码器(94)

424数据选择器(98)

425数值比较器(100)

426奇偶校验器(102)

43组合逻辑电路设计(104)

431采用中规模集成部件

实现组合逻辑电路(104)

432基于VerilogHDL的组合

逻辑电路的设计(108)

44组合逻辑电路的竞争-冒险

现象(118)

本章小结(120)

思考题和习题(121)

第5章触发器(124)

51概述(124)

52基本RS触发器(124)

521由与非门构成的基本

RS触发器(125)

522由或非门构成的基本

RS触发器(127)

53钟控触发器(128)

54集成触发器(132)

541主从JK触发器(132)

542边沿JK触发器(134)

543维持-阻塞结构集成

触发器(135)

55触发器之间的转换(136)

56基于VerilogHDL的触发器

设计(138)

561基本RS触发器的设计(138)

562D锁存器的设计(139)

563D触发器的设计(140)

564JK触发器的设计(141)

本章小结(142)

思考题和习题(142)

第6章时序逻辑电路(145)

61概述(145)

62寄存器和移位寄存器(148)

621寄存器(148)

622移位寄存器(148)

623集成移位寄存器(150)

63计数器(152)

631同步计数器的分析(152)

632异步计数器的分析(155)

633集成计数器(159)

64时序逻辑电路的设计(162)

641同步计数器的设计(163)

642异步计数器的设计(166)

643移存型计数器的设计(169)

644一般同步时序逻辑电路的

设计(172)

65基于VerilogHDL的时序

逻辑电路的设计(174)

651数码寄存器的设计(174)

652移位寄存器的设计(176)

653计数器的设计(177)

654顺序脉冲发生器的设计(181)

655序列信号发生器的设计(182)

656序列信号检测器的设计(184)

本章小结(184)

思考题和习题(185)

第7章脉冲单元电路(188)

71概述(188)

711脉冲单元电路的分类、

结构和波形参数(188)

712脉冲波形参数的分析

方法(189)

713555定时器(189)

72施密特触发器(191)

721用555定时器构成施密

特触发器(191)

722集成施密特触发器(193)

73单稳态触发器(194)

731用555定时器构成单稳态

触发器(194)

732集成单稳态触发器(195)

74多谐振荡器(198)

741用555定时器构成多谐

振荡器(198)

742用门电路构成多谐

振荡器(200)

743石英晶体振荡器(201)

744用施密特电路构成多谐

振荡器(201)

本章小结(202)

思考题和习题(202)

第8章数/模和模/数转换(204)

81概述(204)

82数/模(D/A)转换(205)

821D/A转换器的结构(205)

822D/A转换器的主要技术

指标(209)

823集成D/A转换器(210)

83模/数(A/D)转换(211)

831A/D转换器的基本原理(212)

832A/D转换器的类型(214)

833A/D转换器的主要技术

指标(218)

834集成A/D转换器(219)

本章小结(220)

思考题和习题(221)

第9章半导体存储器(222)

91概述(222)

911半导体存储器的结构(222)

912半导体存储器的分类(223)

92随机存储器(223)

921静态随机存储器

(SRAM)(223)

922动态随机存储器

(DRAM)(224)

923随机存储器的典型芯片(225)

924随机存储器的扩展(226)

93只读存储器(228)

931固定ROM(228)

932可编程只读存储器(229)

933可擦除可编程只读

存储器(229)

934ROM的应用(230)

935可编程逻辑阵列PLA(231)

94基于VerilogHDL的存储器

设计(232)

941RAM设计(232)

942ROM的设计(234)

本章小结(235)

思考题和习题(236)

第10章可编程逻辑器件(237)

101PLD的基本原理(237)

1011PLD的分类(237)

1012阵列型PLD(239)

1013现场可编程门阵列

(FPGA)(243)

1014基于查找表(LUT)

的结构(245)

102PLD的设计技术(247)

1021PLD的设计方法(248)

1022PLD的设计流程(248)

1023在系统可编程技术(251)

1024边界扫描技术(253)

103PLD的编程与配置(254)

1031CPLD的ISP方式编程(255)

1032使用PC的并口配置

FPGA(256)

本章小结(256)

思考题和习题(257)

附录A国产半导体集成电路型号

命名法(GB3430―82)(258)

参考文献

内容简介

全书共10章,包括数制与编码、逻辑代数和硬件描述语言基础、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲单元电路、数模和模数转换、半导体存储器和可编程逻辑器件,各章后附有思考题和习题。本书是结合传统数字设计技术与*新数字设计技术编写的,书中保留了传统的卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术等方面内容,新增了以硬件描述语言(HDL)、可编程逻辑器件(PLD)的现代数字电路设计技术方面的内容。书中列举了大量的基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了电子设计自动化(EDA)软件的编译和仿真,确保无误。本教材图文并茂、通俗易懂,并配有电子课件和《数字电路学习指导与实验》辅导教材,可作为高等学校工科有关专业的教材和相关工程技术人员的参考书。

作者介绍

江国强,男,桂林电子科技大学教授,长期从事“数字逻辑电路”、“微机原理”、“EDA技术与应用”、“SOPC技术与应用”等课程的研究生和本科生的教学。2007年荣获美国ALTERA公司的“FPGA终身教学成就奖”。

前言阅读

第2版前言

在20世纪90年代,国际上电子和计算机技术先进的国家,一直在积极探索新的电子电路设计方法,在设计方法、工具等方面进行了彻底的变革,并取得巨大成功。在电子设计技术领域,可编程逻辑器件(PLD)的应用,已得到很好的普及,这些器件为数字系统的设计带来极大的灵活性。由于该器件可以通过软件编程而对其硬件结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷,极大地改变了传统的数字系统设计方法、设计过程和设计观念。随着可编程逻辑器件集成规模不断扩大、自身功能不断完善,以及计算机辅助设计技术的提高,使现代电子系统设计领域的电子设计自动化(EDA)技术应运而生。传统的数字电路设计模式,如利用卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术正在迅速地退出历史舞台。

本书是基于硬件描述语言HDL(Hardware Description Language)编写的。目前,国际最流行的、并成为IEEE标准的两种硬件描述语言是VHDL和Verilog HDL,两种HDL各具特色。但Verilog HDL是在C语言的基础上演化而来的,只要具有C语言的编程基础,就很容易学会并掌握这种语言,而且国内外90%的电子公司都把Verilog HDL作为企业标准设计语言,因此本教材以Verilog HDL为主作为数字电路与系统的设计工具。

考虑到以卡诺图为逻辑化简手段和相应设计技术这种传统的数字电路设计模式仍然在国内多数高等院校使用,因此本书保留了这部分内容,同时新增了基于Verilog HDL现代的数字电路设计技术。读者通过两种设计技术的比对,更能体会现代数字电路设计技术的优越性与高效率性。

本书第1版于2010年出版,承蒙读者的厚爱,被国内多所大学选作教材。

第2版对第3、4、5、6和9章中的基于Verilog HDL的设计内容进行了修订,使数字电路设计的Verilog HDL源程序更加简洁、明了。

本书共10章:

第1章数制与编码,介绍脉冲信号和数字信号的特点、数制及其转换、二-十进制编码和字符编码。

第2章逻辑代数和硬件描述语言基础,介绍分析和设计数字逻辑电路的数学方法。首先介绍逻辑代数的基本概念、逻辑函数及其表示方法、基本公式、常用公式和重要定理,然后介绍硬件描述语言的基本知识,作为数字逻辑电路的设计基础。

第3章门电路,介绍晶体管的开关特性,TTL集成门电路和CMOS集成门电路。对于每一种门电路,除了介绍其电路结构、工作原理和逻辑功能外,还着重讨论它们的电气特性,为实际使用这些器件打下基础,最后介绍基于Verilog HDL的门电路设计。

第4章组合逻辑电路,介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法。在组合逻辑电路分析内容方面,以加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等电路的分析为例,介绍常用组合逻辑电路的结构、工作原理、逻辑功能、使用方法和主要用途,为读者使用这些器件打下基础。在组合逻辑电路设计内容方面,除了介绍传统的设计方法外,还重点介绍了基于Verilog HDL的设计方法。最后介绍组合逻辑电路中的竞争-冒险。

第5章触发器,介绍触发器的类型、电路结构和功能的表示方法,并介绍基于Verilog HDL的触发器设计,为时序逻辑电路的学习打下基础。

第6章时序逻辑电路,介绍时序逻辑电路的结构及特点,常用集成时序逻辑部件的功能及使用方法,时序逻辑电路的分析方法,传统时序逻辑电路的设计方法和基于Verilog HDL的时序逻辑电路的设计方法。

第7章脉冲单元电路,介绍矩形脉冲信号的产生和整形电路。555定时器是一种多用途的数字/模拟混合集成电路,本章以555定时器为主,介绍用它构成的多谐振荡器、施密特触发器和单稳态触发器电路,同时还介绍用其他方式构成的脉冲单元电路。

第8章数模与模数转换,介绍D/A转换器和A/D转换器的原理、电路结构和主要技术指标,还介绍了集成D/A转换芯片DAC0832和集成A/D转换芯片ADC0809的内部结构、工作原理和使用方法。

第9章半导体存储器,首先介绍半导体存储器的结构与分类,然后介绍半导体存储器(RAM 和ROM)的工作原理和使用方法,还介绍了只读存储器ROM和可编程逻辑阵列PLA在组合逻辑电路设计方面的应用,最后介绍基于Verilog HDL的半导体存储器的设计。

第10章可编程逻辑器件,介绍可编程逻辑器件(PLD)的基本原理、电路结构和编程 方法。

书中列举了大量的基于Verilog HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了EDA工具软件的编译和仿真,确保无误。

全书逻辑电路图尽可能采用国标GB4728.12—85(即国标标准IEC617—12),为了读者习惯,保留了国际和国内的惯用符号。

本书配有电子课件,可登录华信教育资源网www.hxedu.com.cn下载,并配有《数字电路学习指导与实验》辅导教材,可一并选用。

本书由桂林电子科技大学江国强和覃琴编著,如有不足之处,恳请读者指正。

E-mail:hmjgq@gliet.edu.cn

地 址:桂林电子科技大学退休办(541004)

电 话:(0773)5601095,13977393225

数字逻辑电路基础第二版pdf免费版截图

数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(10)数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(11)数字逻辑电路基础第2版电子版下载-数字逻辑电路基础第二版pdf免费版高清版插图(12)

评分及评论

无用户评分

来评个分数吧

  • 5 分
    0
  • 4 分
    0
  • 3 分
    0
  • 2 分
    0
  • 1 分
    0

Comments